ЦАП tda1543

AZi

Вот хотел для питания индуктосина сделать цифровой синтизатор синусоиды (2кгц), купил ЦАП TDA1543, трдтий день с ним воюю - не поддается…
Там какойто хитрый интерфейс - I2S, про который в инете ни слуху ни духу…
Может кто знает что ему надо еще для полного счастья?

ЗЫ пробовал управлять с ЛПТ, потом надо будет на какойнибудь простенький контроллер его повешать…

ЗЫЗЫ если в лпт закинуть подряд два одинаковых значения - там переходные процессы есть? тоесть например посылаю два нуля подряд, между ними единичка может проскочить в момент второй посылки?

Вот все что нашел по нему - на первый взгляд достаточно, но неработает сцобака такая, хоть тресни…

www.docethifi.com/TDA1543_.PDF
www.semiconductors.philips.com/…/I2SBUS.pdf
www.analog.com/…/217090061AN_21065L_I2S.pdf

ATLab
AZi:

Вот хотел для питания индуктосина сделать цифровой синтизатор синусоиды (2кгц), купил ЦАП TDA1543, трдтий день с ним воюю - не поддается…
Там какойто хитрый интерфейс - I2S, про который в инете ни слуху ни духу…
Может кто знает что ему надо еще для полного счастья?

ЗЫ пробовал управлять с ЛПТ, потом надо будет на какойнибудь простенький контроллер его повешать…

ЗЫЗЫ если в лпт закинуть подряд два одинаковых значения - там переходные процессы есть? тоесть например посылаю два нуля подряд, между ними единичка может проскочить в момент второй посылки?

Вот все что нашел по нему - на первый взгляд достаточно, но неработает сцобака такая, хоть тресни…

www.docethifi.com/TDA1543_.PDF
www.semiconductors.philips.com/…/I2SBUS.pdf
www.analog.com/…/217090061AN_21065L_I2S.pdf

Да уж… А что-нибудь более распрострененное и популярное не попалось?
Если есть желание повесить его на микроконтроллер, то может просто взять микроконтроллер с аппаратным ШИМ и генерировать его (SIN) без всякого ЦАП? 2 кГц - это легко. А 10-битного разрешения ШИМ (есть во многих относительно свежих PIC16, PIC18, про другие микроконтроллеры не скажу, не знаю) должно хватить для гладкого синуса. Только генератор нужно обязательно использовать кварцевый.

AZi

2ATLab:
Просто планируется этими цапами еще движки синхронные шевелить, да и других в наших краях нету(эти то ктото заказал да не выкупил просто - вот они мне и достались)…

2razdvatri:
Там почти все результаты - либо новости о “новой” шине либо описание процов в которых она аппаратно есть, ну иногда еще попадаются конструкции самоделкиных где этот цап цепляется опять же к готовому интерфейсу…

Вобщем что пробовал:
через лпт 16 тактовых импульсов и биты разные(от 000… до 111…) - никакого эффекта, потом уже греша на переходные процессы лпт - шину данных повесил на землю и такты отщелкал(как было 4В на выходе - так и есть) ну и на +5 тоже вешал - эффект тот же - 4В на обоих каналах и хоть ты тресни… 😦

Че делать?? 😦

Andrey12

2 AZi
I2C нормальный интерфейс. Поищи схемы программаторов “24с01” подключение простое, но нужна программа 😃 Надеюсь ты пробовал выводить в порт в ДОСе ?

AZi

не И2Ц а И2С глянь первую мою ссылку - это совершенно другой интерфейс…

В порт - дык в досе и выводил - нормальные импульсы по 100мкс…

ATLab
AZi:

2ATLab:
Просто планируется этими цапами еще движки синхронные шевелить, да и других в наших краях нету(эти то ктото заказал да не выкупил просто - вот они мне и достались)…

Че делать?? 😦

Хороший вопрос, прям классика 😃
А если серьезно, то нужно пересмотреть подход к делу. Плясать желательно не от того, что есть под руками, а со всесторонней подробной формулировки задачи, обдумывания возможных вариантов ее решения и выбора наиболее простого способа ее решения.
Конечно, это прописные истины, только многие (Вы в том числе) начинают решение задачи с середины - выбора элементов из доступного ассортимента. А потом тратят кучу времени на то, чтобы разобраться как оно работает, и как его состыковать друг с другом.
Вообще, зачем Вам для формирования SIN нужен АЦП и микроконтроллер? Схема на одном операционном усилителе генерирует вполне приличный синус и, при этом, не нужно еще городить фильтры.
Как вообще Вы формулируете задачу?
Сформировать SIN, подать его на одну обмотку, с другой обмотки снять сдвинутый по фазе SIN, измерить разность фаз и перевести ее в смещение?
Если так, то вот более простой способ решения:

  • сформировать SIN на ОУ, достаточно мощном, чтобы напрямую питать обмотку
  • снять и усилить сигнал со второй обмотки
  • усилить и ограничить первый и второй сигнал
  • подать их на фазовый компаратор (типа CD4046) - получить импульс, пропорционалный разности фаз
  • измерить длительность этого импульса - tимп
  • измерить (микроконтроллером) период исходного SIN - Tsin
  • посчитать отношение tимп/Tsin - это и будет фазовый сдвиг, по нему определить смещение.

Как видите, ЦАП здесь не самая приоритетная вещь…

AZi

Я хотел сделать немного проще - свормировать синус(очень стабильный по частоте и фазе) потом по нулю в эталонной синусоиде включать счетчик а по нулю в выходной выключать(насколько я понял - в моем станке так и было раньше) и сравнивать к-во импульсов посчитанных за один полупериод и за второй - разница и будет являться приращением…

А синус с операционника будет плавать и от температуры и от давления и от того как его левая нога захочет… поэтому придется мониторить еще эталонный сигнал постоянно…

насчет выбора деталей и подхода к разработке - сначала я хотел сделать на шимах, потом отказался из-за их малой разрядности и опять же недоступноси деталей…

И всеже - удобная ведь микросхемка - можно и для шаговиков в микрошаге ее потом применить и просто для задания тока в обмотках любых движков хоть для DC сервопривода хоть для синхронных/асинхронных многофазных движков…